니케이 모노즈쿠리_2024/04(1) 첨단 반도체를 만든다

책 커버 표지
목차

도전자
실패는 도전의 시작, ‘꿈 만들기’가 진정한 사명
-- 나루카와 유키오(成川 幸男) (니치아화학공업 이사 CTO겸 니치아연구소장)
신창간 20주년
‘닛케이 모노즈쿠리’는 덕분에 창간 20주년을 맞이할 수 있었습니다
뉴스의 심층
・배터리/반도체 공장에서 용도 확대, 미쓰비시전기도 참가하는 리니어 운송 시스템
・공급망 전체의 온난화 가스 배출량을 산정, 스코프3 고려하며 오므론 등이 실증시험

요약

Nikkei Monozukuri_2024.4 특집 요약 (p35~62)

첨단 반도체를 만든다
미세화와 구조의 입체화 진행

반도체 디바이스 제조 기술이 전환점을 맞이하고 있다. 반도체 미세화가 진행되면서 트랜지스터의 구조가 기존의 평면 구조에서 3차원 구조로 바뀌고 있는 것이다. 최근 국내에서는 정부의 넉넉한 보조금 정책을 배경으로 반도체 공장을 새로 증설하는 계획이 잇따르고 있다. 기술의 세대교체는 일본의 반도체 산업 부흥의 신호탄이 될 수 있을 것인가? 반도체 제조장치 업체들의 대응을 살펴본다.

Part 1. 오래된 반도체의 제조 공정
미세화와 구조의 입체화가 진행되고 있지만, 반도체 제조의 기본은 그대로


일본의 반도체 산업이 활기를 되찾기 시작하고 있다. 대만의 TSMC는 올 2월, 구마모토(熊本) 현에 건설한 반도체 공장에서 오픈식을 열었다. 차세대 로직 반도체의 국산화를 목표로 하는 라피더스(Rapidus, 도쿄)는 홋카이도에 공장을 건설 중이다.

1980년대에 세계시장 점유율 50%를 자랑했던 국내 반도체 산업은 한국 및 대만의 부상과 미국의 반격으로 쇠퇴의 길을 걸었다. 옛 명성을 되찾기 위해 일본 정부는 반도체 공장 건설에 대한 넉넉한 보조금 지원 방침을 내놓았다.

정부 정책 뿐만 아니라 반도체 산업은 제조기술에서도 변화하고 있다. 반도체 미세화가 진행되면서 보다 복잡한 트랜지스터 구조가 기대를 모으고 있다.

그 대표적인 예가 전자기기의 CPU(중앙처리연산장치)를 비롯한 로직 반도체 분야의 기술혁신이다. 상세한 것은 나중에 언급하겠지만, 기존의 ‘플레이너 FET(Field Effect Transistor)’라고 불리는 평면 구조의 트랜지스터에서 ‘FinFET’, 그리고 ‘GAA(Gate All Around) FET’라고 불리는 3차원 구조의 트랜지스터로 주류가 전환되려 하고 있다.

-- 트랜지스터의 구조는 평면에서 입체로 --
PC에 탑재되는 인텔의 ‘Core 프로세서’ 등, 대량의 데이터를 처리하는 로직 반도체에서 사용되는 것은 주로 MOSFET라는 종류의 트랜지스터이다.

MOSFET에는 게이트(G), 소스(S), 드레인(D)이라고 부르는 3개의 단자가 있으며, 게이트에 일정한 전압을 가하면 드레인과 소스 사이에 전류의 경로인 ‘채널’이 생긴다. 즉, 게이트에 가해지는 전압의 유무로, 스위치와 같이 전류를 ON/OFF할 수 있는 것이다.

이 ON/OFF ‘스위치’를 통해 로직 반도체는 디지털 신호의 ‘0’과 ‘1’로 연산을 실행한다.트랜지스터를 미세화하여 대량으로 탑재할 수 있게 되면 복잡한 연산을 고속으로 처리할 수 있다. 미세화가 반도체 디바이스의 성능 향상에 필수적인 것은 이 때문이다.

2000년대 무렵까지 MOSFET의 주류는 평면 구조의 플레이너 FET였다. 게이트 전압으로 채널을 1면에서 제어하는 구조이다. 그러나 이 플레이너 FET로 미세화를 진행하자 ‘리크 전류’가 과제가 되었다. MOSFET을 OFF로 했을 때에도 드레인과 소스간에 전류가 약하게 흐르는 현상이다.

2010년대에 등장한 FinFET는 채널을 3면에서 제어함으로써 리크 전류를 억제했다. FinFET는 22nm 프로세스 이후의 첨단 로직 반도체에서 주류가 되고 있다. 최첨단의 3nm 프로세스 이후를 목표로 등장한 GAA는 그 이름대로 채널 전체 둘레의 4면을 게이트로 둘러싸서 제어한다.

-- 변하지 않는 반도체 제조 공정의 기본 --
미세화와 복잡화가 진행되고 있는 반도체 디바이스이지만, 트랜지스터가 3차원 구조로 바뀐다고 해서 “반도체 제조장치에 요구되는 기본적인 기술은 극적으로 변하지 않는다”(반도체 제조장치 대기업 어플라이드머티리얼즈 재팬의 나카오(中尾) 대표이사).

예를 들면, 웨이퍼를 평탄화하는 CMP(화학적기계연마)는 1990~2000년대에 걸쳐 진화한 기술이 현재의 첨단 반도체 디바이스 제조를 뒷받침하고 있다(Part2 참조).

물론, 각각의 반도체 제조장치에는 보다 높은 성능이 요구되고 있다. 웨이퍼의 세정 장치에서는 한 번에 50장을 처리하는 배치식(Batch Type)을 대신해 2000년대 후반 이후, 1장씩 처리하는 매엽식이 주류가 되고 있다(Part2 참조). 미세화로 인해 보다 정밀한 세정방식이 요구되고 있기 때문이다.

세계적인 반도체 디바이스 수요 증가로 제조장치 업계도 호황이다. 예를 들면, 일본 기업이 강점을 가지고 있는 웨이퍼 운송 장치도 향후 수요 증가가 기대되고 있다(Part3 참조). 또한, 라피다스를 시작으로 국내 반도체 공장에서도 미세화에 빠뜨릴 수 없는 EUV(극자외선) 노광 장치를 도입하는 움직임이 나오고 있다.(Part2 참조).

-- 반도체 디바이스 제조 공정 --
그렇다면 이러한 노광 장치나 세정 장치 등은 어떻게 사용될까? 여기서부터는 반도체 디바이스의 제조 공정을 대략적으로 살펴보자.

로직 반도체에서는 전술한 트랜지스터를 대량으로 조합한 전자 회로를 미세화하여 다수 제작해 실리콘 웨이퍼상에 형성한다. 이 전자회로 덩어리가 반도체 칩이며, 한 장의 웨이퍼에 다수의 칩을 만든다.

그 다음, 칩을 1개씩 떼어내어 패키지 기판이라고 불리는 프린트 기판 위에 두고, 칩의 접점과 프린트 기판의 접점을 가느다란 도전체의 와이어로 전기적으로 접속한다. 그리고 칩과 와이어를 보호하기 위해 수지 등으로 밀봉하여 전체를 덮는다. 이렇게 해서 반도체 디바이스가 완성된다.

이러한 일련의 제조 공정은 크게 3 단계로 나눌 수 있다.[1]포토마스크 제조,[2]전공정,[3]후공정이다.

[1] 포토마스크 제조
웨이퍼 상에 형성된 트랜지스터는 매우 작고, 그것들을 연결하는 배선도 세밀하다. 그래서 포토마스크(레티클)라고 부르는 투명한 유리 기판에 전자 회로의 패턴을 그려 두고, 웨이퍼상에 그 패턴을 축소해 전사한다.

전공정에서는 웨이퍼 위에 도포한 포토레지스트(감광제)에 빛을 사용하여 포토마스크의 패턴을 전사한다. 빛이 닿은 부분의 포토레지스트가 변질되기 때문에 예를 들면, 이 변질 부분을 약제를 이용해 떼어내어 가공하고 싶은 웨이퍼 부분을 노출시킨다.

포토마스크는 이러한 전사 회수만큼 필요하게 된다. 그래서 한 종류의 로직 반도체에 수십 장의 포토마스크가 필요하다. 포토마스크 가공에는 주로 전자빔이 사용된다.

[2] 전공정
웨이퍼에 전자회로를 형성하는 공정이 '전공정'이다. 다양한 제조기술이 관련되어 있지만, 대략 (1)성막, (2)노광·현상, (3)에칭, (4)세정, (5)평탄화 등 5가지 공정을 반복한다.

우선은 웨이퍼 상에 트랜지스터와 배선의 기초가 되는 박막을 형성하는 (1)성막이다. 그 박막상에 포토마스크를 사용해 회로 패턴을 전사하는 것이 (2)노광·현상이다.

전사된 회로 패턴에 따라 (3)에칭에서는 화학 반응으로 박막을 깎는다. 그리고, 웨이퍼에서 미세한 쓰레기나 오염을 제거하는 (4)세정. 그 다음, 웨이퍼 표면을 닦아 평평하게 하는 (5)평탄화 등을 거쳐, 1층 분의 패턴이 완성된다. 이러한 공정을 반복하며 전공정에서는 트랜지스터나 배선을 형성해 간다.

각 공정은 1대의 반도체 제조장치로 완결되지 않고 각각 다른 전용 장치가 필요하다. 그래서 반도체 공장의 천장에는 웨이퍼를 운반하는 자동 운송 시스템이 도입되어 있다. FOUP(Front Opening Unified Pod)라고 부르는 웨이퍼 용기를 자동 운송 장치가 운반해 반도체 제조 장치 간을 연결한다.

반도체 디바이스 제조에 있어서, 먼지 등 미세한 쓰레기(파티클)는 웨이퍼상의 결함으로 이어지는 큰 요인이 된다. 반도체 공장은 거대한 클린룸(방진실)으로 되어 있으며, 전술한 반도체 제조장치와 자동 운송 시스템은 그 내부에 설치되어 있다.

[3] 후공정
전공정을 마친 웨이퍼 위를 최종적인 반도체 디바이스로 마무리하는 것이 '후공정'이다.크게 4가지 공정이 있다. 우선, 웨이퍼를 개별 칩으로 분리하는 (1)다이싱. 잘라낸 칩을 패키지 기판 등에 고정하는 (2)본딩, 칩을 수지로 밀봉해 보호하는 (3)몰딩, 그리고 성능을 검사하는 (4)테스트이다.

-- 중요한 것은 복수 장치의 통합 --
미국의 어플라이드머티리얼즈는 매출 규모에서 도쿄일렉트론을 뛰어넘는 세계 최대 반도체 제조장치 업체이다. 노광 장치와 코터∙디벨로퍼(도포·현상 장치) 등 일부를 제외하고, 반도체 제조의 전공정에 필요한 장치들을 폭넓게 개발 및 판매한다.

최근 20년의 로직 반도체 기술 혁신에 대해 대략적으로 살펴보자. 트랜지스터 구조로 말하면, 2000년대 무렵까지의 주류는 플레이너 FET였다. 문자 그대로, 평면 구조의 트랜지스터이다. 2000년대 후반에는 게이트 절연막에 기존의 실리콘 산화막(SiO2)보다도 고유전율의 재료를 쓰는 High-k 메탈 게이트 기술이 등장했지만, 플레이너 FET라는 점에서는 같았다.

2010년대에 들어서 22nm 프로세스 이후의 프로세스를 한층 더 미세화하는 것을 목표로 등장한 것이 FinFET이다. MOSFET의 드레인(D)과 소스(S) 간에는 채널이라고 부르는 전류의 경로가 있다. FinFET는 채널이 되는 실리콘을 물고기의 지느러미(Fin)와 같은 형상으로 해 두고, 그 주위의 3면을 게이트로 제어하는 구조이다.

FinFET는 현재의 첨단 로직 반도체에서 주류로, 2020년대까지 계속 개선되어 왔다. 예를 들어, 핀의 높이가 더 길어지거나, 핀의 재료가 바뀌었다. 최근 20년 간 트랜지스터의 크기는 무려 10분의 1로 미세화되었고, 구조 자체도 복잡해졌다.

최근에는 3nm 공정 이후까지 미세화가 진행되면서 FinFET보다 더 복잡한 GAAFET 부르는 트랜지스터 구조가 등장했다. FinFET의 핀 단면은 수직 방향으로 긴 형상이지만, GAAFET는 이 핀을 가로로 눕히고 둘레 4면을 게이트로 둘러싼 것과 같은 구조로 되어 있다. 제조의 난이도는 상당히 높다.

-- 공정 간 통합을 추구 --
GAA의 제조 공정을 소개하면 길어지기 때문에 생략하지만, 각각의 반도체 제조장치가 갑자기 크게 바뀐 것은 아니기 때문에 기본적인 제조기술의 사고방식은 기존과 별반 다르지 않다. 어플라이드머티리얼즈는 GAA FET의 성형에 필요한 성막 장치나 에칭 장치, 전자빔 검사 장치 등의 제품 라인업을 갖추고 있다.

중요한 것은 그것들의 인테그레이션(통합)이다. 전∙후공정을 포함한 프로세스에 대한 적절한 가공 조건을 1개 사가 모두 찾아낼 수 없다. 장치 제조사와 반도체 제조사가 함께 추진할 필요가 있다.

물론, 각각의 장치에는 고성능화가 요구되고 있다. 성막 장치의 경우, 직경 300mm의 웨이퍼에, 예를 들면, 보다 균일한 막 두께나 결함이 적은 막질이 요구되고 있다. 이 같은 과제를 해결할 수 있는 반도체 제조장치에는 진공기술과 온도관리기술, 공정모니터링기술, 플라즈마제어기술, 이온제어기술 등으로 구성된 종합기술이 필요하다.

어떤 특정 장치 한 대를 골라 기술적 진화나 우위성을 설명할 수도 있지만, 그보다는 고객의 생산 공정을 최적화하고, 장치의 성능을 최대한 이끌어내면서 생산성을 높이는 것이 중요하다.

Part 2. 진화를 뒷받침한다 (EUV 노광장치)
만들 수 있는 것은 세계에서 ASML뿐, 열쇠를 쥔 것은 미러 렌즈와 광

반도체 디바이스 미세화의 열쇠가 되는 것이 파장 13.5nm의 극자외선(EUV)을 사용하는 EUV 노광장치이다. 포토리소그래피 공정에 있어서 포토마스크의 패턴을 웨이퍼상에 전사하는 노광을 담당한다. 7nm 세대 이후의 로직 반도체와 최첨단 메모리 제조에서 불가결한 이 장치는 전 세계에서 네덜란드 ASML만이 공급하고 있다.

Part 2 진화를 뒷받침한다 (에칭 장치)
더 깊게 더 빠르게, 3차원 반도체의 과제

더 깊게 더 빠르게, 직선적으로. 첨단 반도체의 이러한 구조적 변화가 웨이퍼상의 박막을 깎아내는 에칭 장치에 새로운 과제를 안겨주고 있다. 로직 반도체와 D램, 낸드 플래시 메모리(이하 낸드)와 같은 첨단 제품에 공통되는 것은 수직 방향의 면적을 소자 형성에 활용하는 기술 트렌드다. ‘셀렉티브 에칭(Selective Etching)’이라고 불리는 신기술도 등장하는 등, 에칭 장치에 ‘지각 변동’이 일어나고 있다.

 

Part 2. 진화를 뒷받침한다 (CMP 장치)
웨이퍼 평탄화의 최전선, 무어도 놀랄 연마 기술의 공적


‘도쿄 23구의 요철을 1mm 이하로 평평하게 하는 정밀도’. 이것은 최근 반도체 제조에 있어 웨이퍼 표면의 평탄화를 표현하는데 자주 사용되는 예이다. 첨단 반도체 제조에서는 연마에 의해 300mm 웨이퍼 표면의 요철을 수 nm 이하로 가공한다. 이 연마 기술은 1990~2000년대에 걸쳐 눈부신 기술혁신을 일으키며 현재의 반도체 디바이스의 미세화 및 다층화를 뒷받침하고 있다.

Part 2. 진화를 뒷받침한다 (웨이퍼 세정 장치)

1개씩 세정하는 매엽식이 이미 70% 이상, 미세화로 인해 배치식으로는 대응할 수 없어

“반도체 웨이퍼 세정 장치는 현재 배치식에서 매엽식으로 전환되고 있다.” SCREEN홀딩스 산하에서 반도체 제조 장치를 판매하는 스크린세미컨덕터솔루션즈(교토 시)의 고토(後藤) 사장은 스크린세미컨덕터솔루션즈가 최대 시장 점유율을 자랑하는 웨이퍼 세정 장치에 대해 이렇게 말한다.

Part 3. 미∙중 대립에 명암 (운송 장치)
미국의 대중국 제재가 기회로, 세계를 독점하는 일본기업
 
미국이 중국에 대해 강구하고 있는 반도체 관련 수출 규제가 반도체 제조를 뒷받침하는 장치 업체들의 명암을 가르고 있다. 바이든 행정부는 2022년 10월, 중국의 군사력 강화 저지를 목적으로 주로 첨단 반도체 제조에 필요한 장치와 기술을 중국에 수출하는 것을 사실상 금지한다는 방침을 내놓았다. 많은 반도체 제조장치 업체들에게 중국 상대로 첨단 반도체 제조에 관련된 제품을 판매할 수 없게 되는 임팩트는 크다.

 -- 끝 --

Copyright © 2020 [Nikkei Monozukuri] / Nikkei Business Publications, Inc. All rights reserved.

TOP

목차

도전자
실패는 도전의 시작, ‘꿈 만들기’가 진정한 사명
-- 나루카와 유키오(成川 幸男) (니치아화학공업 이사 CTO겸 니치아연구소장)
신창간 20주년
‘닛케이 모노즈쿠리’는 덕분에 창간 20주년을 맞이할 수 있었습니다
뉴스의 심층
・배터리/반도체 공장에서 용도 확대, 미쓰비시전기도 참가하는 리니어 운송 시스템
・공급망 전체의 온난화 가스 배출량을 산정, 스코프3 고려하며 오므론 등이 실증시험
・40대의 기술자는 어디에 있는가, 총무성 조사를 통해 보는 연령 구성의 왜곡
REPORT
・스미토모화학 ‘창업 이래의 위기적 상황’, 자회사의 실적 악화로 2450억엔 적자
・세계의 하이브리드 시프트로 수익을 올리는 도요타, '날카로운 엔진 기술'이 무기

・큰 감속비의 파동 기어 기구를 소형화, Tecpha Japan이 설계법 특허를 공여
・잇단 바이오매스 발전소의 화재, 원인은 목질 펠릿 연료
특집 1: 첨단 반도체를 만든다
Part 1. 이제 와서 들을 수 없는 반도체 제조 공정
미세화와 구조의 입체화가 진행되지만 반도체 제조의 기본은 변함없다
Part 2. 진화를 뒷받침하다 (EUV 노광장치)
만들 수 있는 것은 세계에서 ASML뿐, 관건은은 미러 렌즈와 광원
Part 2. 진화를 뒷받침하다 (에칭 장치)
더 깊이 더 빠르게, 3차원 반도체가 던지는 어려운 문제
Part 2. 진화를 뒷받침하다 (CMP 장치)
웨이퍼 평탄화의 최전선, 무어 씨도 놀랄 연마기술의 공적
Part 2. 진화를 뒷받침하다 (웨이퍼 세정 장치)
1장씩 씻는 매엽식(Single Wafer Type)이 이미 70% 이상, 미세화의 진행으로 배치식(Batch Type)으로는 대응 불가
Part 3. 미중 대립에 명암 (운송장치)
미국이 펼치는 대중국 규제도 사업 기회로, 세계를 독점하는 일본기업
특집 2: H3 발사는 완벽한 성공, 시장 참여에 시급한 인프라 정비
PICK UP
여러 종류의 운송 로봇을 혼재 제어, OKI가 ‘세상에 없어 직접 개발’ 외
생산성 높이는 웰빙이란
종업원의 의욕을 고취하다
도요타 방식의 인재양성, 당신의 고민에 응답합니다
제119회: 관리자가 문제를 파악하기 위해서는

 -- 끝 --


TOP